Skip to content

Diakopto Unveils PrimeX™ – Revolutionary EDA Solution for Top-Hierarchy Power Grid and Signal Net EM/IR

Multiple PrimeX Customers Have Successfully Taped Out Next-Generation ICs in 7nm and 5nm

San Jose, CA – Diakopto today unveiled its PrimeX™ EDA solution that delivers a radically new and trailblazing methodology for top-hierarchy resistance, IR drop, and EM (electromigration) analysis and verification of power nets. 

PrimeX enhances existing EM/IR methodologies with several unique advantages:

  • Unparalleled ease-of-use allows IC design, integration, layout, and CAD engineers to immediately benefit from the tool without complicated setup, configuration, or extended training.
  • Higher capacity and faster simulation speed enables large power grids at the top hierarchy level to be analyzed in hours vs. days or weeks.
  • Intelligent debugging and analysis capabilities with deep insights and actionable results to quickly pinpoint weak spots and root causes of EM/IR problems, visualized over the layout.
  • Advanced “approximate computing” techniques that achieve dramatic gains in analysis speed and enable a “shift to the left” methodology by controllably relaxing analysis accuracy to capture the majority of layout mistakes leading to major resistance, IR drop and EM problems in power nets.
  • Can be used early in the design process to allow engineers to optimize, iterate and clean up power net layouts quickly and easily prior to the final sign-off stage.
  • Efficient verification at both the block- and top-hierarchy levels.

PrimeX has been adopted by Tier One companies for power grid verification, optimization and debugging of next-generation designs in advanced technologies. These companies include one of the largest fabless semiconductor companies, the industry’s leading networking OEM, one of the world’s largest hyperscale data center companies, a leading provider of memory and data storage solutions, a multi-billion-dollar vendor of semiconductor IP and EDA software, and a leading provider of high-speed connectivity solutions.  Customers are using PrimeX to analyze and improve a broad spectrum of designs, including high-speed SerDes, RF/wireless transceivers, AR/VR silicon, and image sensors. 

“PrimeX was developed in response to a pressing need for analyzing very large power nets in a new, more intelligent way – to quickly pinpoint bottlenecks and root causes over the layout. It enables new capabilities and methodologies not feasible with existing EM/IR tools,” said Maxim Ershov, CEO and CTO at Diakopto. “We are pleased with the overwhelming success and adoption of PrimeX by so many companies. By leveraging the same underlying principles and platform as our market-leading ParagonX tool, PrimeX helps us further strengthen the depth of our engagement with our key customers and further entrench our pioneering methodology in their flows.”

“Traditional EM/IR tools are very powerful but also very slow and difficult to use for most design engineers. As the industry migrates to more advanced technologies and power grids get more complex, it has become increasingly impractical for designers to use these tools to verify power nets at the top level,” said Stefanos Sidiropoulos, a successful serial entrepreneur who was most recently Fellow at Cadence Design Systems and CEO of nusemi. “PrimeX tackles that problem by delivering a fast solution that helps optimize and debug power grids from the beginning of the design.” 

The industry migration to advanced process nodes has led to an exponential increase in the number, magnitude and complexity of parasitic elements. This has in turn made modern ICs more susceptible to parasitic effects, and caused a dramatically slowdown in simulation times. While traditional EM/IR tools are adequate for the verification of sub-blocks, using them to analyze power integrity and reliability at the top level, and especially at early design phases, has become increasingly challenging and impractical. Using these tools for full characterization of nets can easily take several weeks per iteration – a luxury few companies can afford. And they can only be used very late in the design stage when layouts are mostly complete and onerous to change. 

PrimeX enhances existing EM/IR methodologies by enabling engineers to perform very fast power grid verification, at both the block and top levels. By delivering clear, intuitive results that identify weaknesses and bottlenecks by layer and polygon over the layout, PrimeX helps engineers improve their circuits’ power integrity and reliability while accelerating time-to-market.

About Diakopto Inc.
Diakopto develops analysis, visualization, and optimization solutions for complex IC designs, with the primary focus on enhancing existing EDA flows to accelerate time-to-tapeout. The company empowers engineers at over 45 industry-leading companies to find and resolve design problems faster and earlier, while simultaneously optimizing their circuits. Diakopto is headquartered in San Jose, CA. www.diakopto.com

Diakopto, ParagonX and PrimeX are trademarks owned by Diakopto Inc.